Modul:Age

Zur Navigation springen Zur Suche springen

Prozedur proc aufrufen: {{#invoke:Age|proc}} · Modul einbinden: local t = require('Module:Age')

Unterseiten

Verwendung

Dieser Modul wird zum Wiki-Dokumentationsmodul geändert.

Aufruf: {{#invoke:Age|name}} Einbindung: require('Module:Age')


local p = {} -- p stands for package

function p.alpha(frame)
	-- erzeugt eine Liste mit alphabetisch sortierten Links zu Seiten mit einem bestimmten Anfangsbuchstaben
	-- man kann entweder den Namensraum als Text oder als Nummer angeben
	-- ns: Namensraum, z.B. MediaWiki oder Modul
	-- nn: Namensraumnummer, hat Nachrang gegenüber ns
	-- die Variable a enthält alle Parameter, die im #invoke: Statement stehen
	-- die Variable b enthält die Parameter, die an die Vorlage übergeben werden, die das #invoke: Statement aufruft
	-- z.B. die Vorlage {{Scheme}} ruft cats mit dem Parameter Scheme auf: 
	-- {{#invoke:Hili|cats|Scheme}} frame.args enthält i=1, v=Scheme
	-- {{Scheme|Grobs}}             frame:getParent().args i=1, v=Grobs

	local a = frame.args              -- direkt per #invoke: übergeben
	local b = frame:getParent().args  -- an die Vorlage übergebene Parameter
	local _ = ""

	local ns = a.ns or b.ns           -- Namespace
	local nn = a.nn or b.nn or 0      -- Namespace Number
	ns = ns or frame:preprocess( "{{ns:" .. nn .. "}}:" )
	local was = '{{Special:AllPages/' .. ns
	local wen = '|xx}}'
	local i
	
	local bet = { "a", "b", "c", "d", "e", "f", "g", "h", "i", "j", "k", "l", "m", "n", "o", "p", "q", "r", "s", "t", "u", "v", "w", "x", "y", "z" }
	_ = ''
	bet = { "a", "c" }

	for i=1,#bet do
		_ = _  .. was .. bet[i] .. wen
	end
	return _

end

function p.sicht(frame)
	local a = frame.args              -- direkt per #invoke: übergeben
	local b = frame:getParent().args  -- an die Vorlage übergebene Parameter

	local special = '{{Special:AllPages|Alle Artikel}}'
	-- so eingebunden wird eine Liste aller Artikel etc. angezeigt
	local exp = frame:expandTemplate{ title = 'Wiki Übersicht', args = { } }
	return frame:preprocess(special)
end

return p